首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 93 毫秒
1.
Latecomer firms from emerging economies upgrade their technological capabilities by providing original equipment manufacturer (OEM) services to multi-national enterprises from advanced countries and extend their role across the global value chains. Existing firm-level taxonomies of knowledge accumulation cannot explain why most latecomer firms fail to transit to advanced levels in high-tech manufacturing industries. The proposed framework combines firm-level taxonomy of knowledge accumulation with catch-up trajectory to argue that, under the knowledge regime of a high-tech manufacturing industry, latecomers’ learning experience differs from those as posited by previous studies. Using the integrated circuit industry as the empirical anchor, this paper shows that firms undergo ‘critical transition’ in learning which involves sustainable innovative capacity and momentum-generation to reach the advanced level. It shows how OEMs build knowledge to leapfrog incumbents without competing with their branded customers. Throughout the process, inter-firm collaboration and open innovation are critical sources of knowledge.  相似文献   

2.
Sectoral patterns of technical change: Towards a taxonomy and a theory   总被引:10,自引:0,他引:10  
The purpose of the paper is to describe and explain sectoral patterns of technical change as revealed by data on about 2000 significant innovations in Britain since 1945. Most technological knowledge turns out not to be “information” that is generally applicable and easily reproducible, but specific to firms and applications, cumulative in development and varied amongst sectors in source and direction. Innovating firms principally in electronics and chemicals, are relatively big, and they develop innovations over a wide range of specific product groups within their principal sector, but relatively few outside. Firms principally in mechanical and instrument engineering are relatively small and specialised, and they exist in symbiosis with large firms, in scale intensive sectors like metal manufacture and vehicles, who make a significant contribution to their own process technology. In textile firms, on the other hand. most process innovations come from suppliers.These characteristics and variations can be classified in a three part taxonomy based on firms: (1) supplier dominated; (2) production intensive; (3) science based. They can be explained by sources of technology, requirements of users, and possibilities for appropriation. This explanation has implications for our understanding of the sources and directions of technical change, firms' diversification behaviour, the dynamic relationship between technology and industrial structure, and the formation of technological skills and advantages at the level of the firm. the region and the country.  相似文献   

3.
《Research Policy》2019,48(7):1694-1713
Building on Lee and Malerba’s (2017) framework, we explore how leading firms in Brazil’s forestry and pulp industry responded to windows of opportunity, in learning terms, shaping an early entry into path-creation technological catch-up, shifting that industry into a globally leading position. Drawing on an in-depth inductive study based on 50 years of evidence, a 10-year fieldwork in large firms, and on a novel approach to micro-level external and internal absorptive capacity (AC), as empirically observable external and internal learning mechanisms (LMs) underlying firms’ innovative capability accumulation (technological catch-up), we find that: (1) firms responded to changing windows of opportunity by developing dual AC through the intensity and the manner of use of multiple LMs across the emergence, gradual catch-up, and forging-ahead phases of the path-creation process; (2) however, there were variations within and across firms in the effectiveness with which they developed their dual AC over these phases, leading to a non-homogenous technological catch-up: while some firms reached a world-leading capability level, others became fast-followers. We contribute to deepening and refining the understanding of technological catch-up through robust empirical insights on how the dynamic interplay between windows of opportunity and variation in the micro-level dual AC development’s effectiveness helps to explain the nature and extent of firms’ technological catch-up. We also provide a basis to further the analysis of technological catch-up and its learning processes, particularly in natural resources-rich industries in resource-rich developing countries.  相似文献   

4.
The fruit flies of innovations: A taxonomy of innovative small firms   总被引:1,自引:1,他引:1  
Taxonomies of patterns of innovation give a dominant role to large firms, and are often based on empirical studies that exclude micro firms. This paper proposes an empirical taxonomy of the innovative firms at the bottom of the size distribution, based on a new survey of 1234 small firms and micro firms in The Netherlands, in both manufacturing and services. These firms differ not only in their innovative activities, but also in their business practices and strategies, such as management attitude, planning and external orientation, that they use to achieve innovation. The taxonomy identifies four categories of small innovative firms: science-based, specialised suppliers, supplier-dominated and resource-intensive. It suggests a more diverse pattern of innovation of small firms than in Pavitt's [Pavitt, K., 1984. Sectoral patterns of technical change: towards a taxonomy and a theory. Research Policy 13 (6), 343-373] taxonomy, a pattern that is shared by both manufacturing and service firms. Finally, the research shows that taxonomies can be effectively used to map differences in the rates, sources and nature of innovation, with the differences in the business strategies of innovative firms.  相似文献   

5.
王松 《科研管理》2008,29(2):44-51
本文着重研究企业获取与技术创新相关的知识和信息的外部联系制度。以创新系统理论和技术/产品生命周期理论为出发点,研究随着技术/产品生命周期的变化,工业企业创新的外部联系制度所发生的变化。对英国中部的处于技术/产品生命周期的成长期的信息/电子企业以及处于成熟期的汽车制造/工程企业进行了比较研究,发现它们创新的外部联系制度的组织和构成发生了显著变化,如处于成长期的企业对创新的外部联系制度的依赖更大,发生联系的外部知识/信息源的种类更多样化等。同时,两类企业在联系方式、特点以及获取知识的用途等方面也具备一些相似性。  相似文献   

6.
双元性对后发企业追赶具有重要的作用,但后发企业如何通过次序双元实现技术追赶的过程机制仍不清楚。慈星1988~2018年的纵向案例研究发现,后发企业在技术追赶过程中可根据行业技术变革性质开展不同的组织学习,技术范式发生转变的根本性变革期以探索性学习为主导,可由独立的自治机构负责,技术范式趋于稳定的收敛性变革期则以利用性为主导,可在主流机构内完成,同时随着追赶技术难度的增加,企业研发团队由轻量级升级为重量级。技术变革性质、组织学习类型与企业内部要素三者之间的动态匹配,使后发企业有节奏地在探索与利用之间进行转换,形成了次序双元,进而实现了对国际领先企业的技术追赶。本文研究结论对技术追赶和双元学习研究都具有一定的理论贡献。  相似文献   

7.
In the era of “alliance capitalism”, the increasing number of strategic technological partnerships (STPs) has been mainly recorded in the science-based fields, of which information and communications technology (ICT) is a leading sector. The establishment of STPs has also characterised the European ICT industry. The growing technological interrelatedness and the need to acquire capabilities in related fields have been identified in the literature as major explanations for the increase in corporate technological co-operation. This paper investigates the role of corporate technological specialisation factors in the conclusion of STPs in the European ICT industry by carrying out a dynamic analysis. Accordingly, the patterns followed by corporate technological partnerships in the industry in question are investigated since the late 1970s. Based on US patent data granted to the world's largest firms as well as STPs data, the results of the econometric analysis are consistent with the view that the more similar partners' technological portfolios are with one another, the easier it is to absorb each other's capabilities.  相似文献   

8.
This paper considers two aspects of technical change in the ceramic tile industry in Italy: firstly, the process of invention, adoption and diffusion of new techniques in the industry; secondly, the impact of forces of a technical nature in shaping the industrial structure.The process of technical change is examined in the light of the interrelationships between firms and their proximity. These are crucial elements characterizing an “industrial district”, whose boundaries go beyond the industry defined on a product basis. The industrial district is adopted as unit of analysis of the process of technical change. Moreover, economic, social and institutional features are considered. In this context the historical developments of new techniques are discussed in terms of the constraints to the established position of the firm at a particular time.Among the determinants of the ceramic tile industrial structure, economies of scale have had a minor role. The pattern of vertical disintegration we observe in the industry is - instead - closely related to the technical developments in the industry through the effects of technical change on the product and process specialization of the production units operating in the industrial district.  相似文献   

9.
The research reported in this article was carried out as part of a larger prefect investigating the impact of the US Space Program on the American economy. The author describes the influence of governmental programs in space and defense on the growth of the computer and semiconductor industries. Three types of economic impacts are analyzed: the role of the federal government in financing research and development; the importance of the government as a market, particularly in the early stages of an industry's growth; and the extent to which government policy encouraged the entrance of new firms and permitted the survival of companies that might otherwise have failed. The paper also deals with the contribution of Defense and NASA to the acceleration of technological changes and the development of specialized manpower on which the progress of the industry largely depended.The author concludes that large government programs can play an essential role in the growth of high technology industries. The significance of space-defense programs for US semiconductor and computer firms can only be perceived by reviewing each type of impact-economic, technological and manpower. The government's early R & D support, assured demand during startup periods, encouragement of competition, acceleration of technological progress and its facilitating of professional mobility, are the separate impacts which together greatly aided US firms in their rise to a dominant position in the world semiconductor and computer industries.  相似文献   

10.
New technology-based firms (NTBFs) represent only a small proportion of the firms established in France each year; they attract very little specific attention from the national statistical apparatus and are considered to be of marginal significance by both the public authorities and financial institutions. Although the definition of NTBFs is problematic, the available evidence suggests that the survival rate of technology-based firms is higher than that of other start-ups. The most successful in terms of growth belong to dense and convergent networks through which they interact with larger firms and research organisations. There is very little public policy specifically targeted on NTBFs in France. Instead, public financing has been devoted mainly to the development of SMEs in general—in support of their role in the creation of new employment—and to supporting technology-based firms, both large and small, to strengthen the international competitiveness of the French industry.  相似文献   

11.
We investigate the prevalence of the motive to source technological knowledge externally through corporate acquisition. Drawing on make-or-buy and organizational learning theories, we infer the implications of this explanation for the acquirers' pre-acquisition innovative characteristics. Using an international sample of 6106 high technology acquisitions during 1984–2000, we assess the contribution of innovative characteristics to the acquisition likelihood. For firms acquiring small private firms and former subsidiaries—but not public targets—the evidence is consistent with three propositions: (1) A firm's commitment to internal R&D is negatively affected by the decision to acquire; (2) Low R&D productivity increases the likelihood of acquisition; (3) A large knowledge stock predisposes firms to acquire because they perceive they are capable of selecting and absorbing targets. We conclude that acquisitions of small private firms and former subsidiaries are a viable R&D strategy to explore a range of potential future innovation trajectories for large public firms.  相似文献   

12.
This study examines technological capability accumulation and the Brazilian rice industry's technological trajectory. Multiple case design was chosen, with an analysis of seven firms involved in the Brazilian rice industry. The results indicate three factors: The first was the identification of technological landmarks for firms in this sector (peeling and polishing, electronic grain selection, electronic packaging, use of forklifts and palletizers, and Industry 4.0). The second is related to the fact that different firms implemented innovative activities and technologies at different speeds, originating from the sector's different technological trajectories. The third is associated with identifying activities within the Brazilian rice industry that can be generalized to other food industries. These results have implications for formulating public policies in the sector, such as creating producer support mechanisms (research and technology transfer institutions), qualification of professionals, and encouragement for economic efficiency and competition.  相似文献   

13.
选取2009—2015年我国28个省、市级地区的高新技术产业数据作为研究样本,运用DEA-Malmquist指数方法,从整体、区域的视角,对高新技术产业技术创新效率进行实证研究,解析中国高新技术产业技术创新效率的变化情况,并通过指数分解,剖析中国高新技术产业技术创新过程中的技术变化率和资源变化率,从而确定影响中国高新技术产业技术创新效率的主要因素,在此基础上,提出相应对策。  相似文献   

14.
郭京京 《科研管理》2014,35(11):35-43
通过四家产业集群企业的案例研究,本文考察了技术学习惯例在外部知识获取策略与企业创新绩效之间的中介作用机制。研究发现:技术学习惯例强度在深度优先的外部知识获取策略影响企业技术创新绩效的机制中起中介作用,技术学习惯例多样性在广度优先的外部知识获取策略影响企业技术创新绩效的机制中起中介作用。论文工作深化了对产业集群企业内部技术学习行为和创新过程的理解,拓展了组织惯例领域的实证研究。  相似文献   

15.
《Research Policy》2023,52(7):104787
The ability to access specialized complementary assets has been key to explaining how firms benefit from their technological innovations. When firms lack complementary assets the more likely they have to rely on markets for technology to profit from their R&D investments. We extend this view documenting the emergence of a new type of industry intermediary, Contract Development & Manufacturing Organizations (CDMOs), which provide access to complementary assets on a per-use basis. CDMOs allow firms to contract for complementary assets at variable costs without the need to invest in such assets internally. This opens up new product development paths, in which firms do not out-license their products to firms with complementary assets but sustain their development in-house using CDMOs. We highlight that the expansion of services offered by CDMOs changes the nature of the industry's source of competitive advantage and provide empirical evidence that the expansion of CDMOs is associated with a decline in the number of out-licensing deals among US biopharmaceutical firms. In so doing, the study explains how innovation intermediaries like CDMOs can have a profound effect on an industry's specialized complementary assets and the market for technology.  相似文献   

16.
戴维奇  林巧  魏江 《科学学研究》2012,30(7):1071-1081
 首先界定了集群企业升级的内涵。然后,基于组织学习和知识基础理论,从刻意学习这一角度,揭示公司创业推动集群企业升级的内在机理。文章认为,公司创业过程中的信息搜索以及经验汇聚为集群企业获得技术知识和市场知识提供了重要的通道。而刻意学习过程,包括集群企业内知识扩散和知识运用,将创业过程中汲取的知识与既有知识融合起来,起到了知识整合的作用,进而生成与发展了既有的技术能力和市场能力,推动了集群企业的升级。以浙江省四个产业集群内171家企业为调研对象,实证研究总体上支持了本文的研究假设。  相似文献   

17.
《Research Policy》1999,28(2-3):145-155
Given the trends towards increasing globalisation of markets and of production, the globalisation of technology remains a subject of considerable interest to analysts and policy makers in the 1990s. This paper provides some new empirical observations for debate and discussion on the patterns of technological activities of large firms outside their home countries. It is based on a systematic analysis of the US patenting activities of 220 of the most internationalised firms in terms of their technology in the 1990s. Although firms are active outside their home countries in the `high technology' fields (such as Computers, Pharmaceuticals, Telecommunications, Image and Sound and Materials), quite a sizeable proportion of their foreign activities are concerned with process and machinery technologies. Moreover, a comparison of the technological advantage of the company at home and the advantage of the location shows that in a large majority of cases, firms tend to locate their technology abroad in their core areas where they are strong at home. These results suggest that adapting products and processes and materials to suit foreign markets and providing technical support to off-shore manufacturing plants remain major factors underlying the internationalisation of technology. They are also consistent with the notion that firms are increasingly engaging in small scale activities to monitor and scan new technological developments in centres of excellence in foreign countries within their areas of existing strength. However there is little evidence to suggest that even these most internationalised firms routinely go abroad to compensate for their weakness at home.  相似文献   

18.
The paper draws upon a national study to analyze two issues relevant to the future effectiveness of the American agricultural technology system: (1) linkages between research and technology transfer activities; and (2) relationships between the public and private sectors. Increased commitments to basic research by the US Department of Agriculture and the state agricultural experiment stations have served to exacerbate existing strains between research and technology transfer functions and units. Both the feasibility and desirability of having USDA's Agricultural Research Service simultaneously seek to strengthen its basic research capabilities and its commitment to technology transfer have been questioned. Within the landgrant universities, multiple pressures have served to attenuate traditional ties among agricultural researchers, extension specialists, and county extension agents.Private sector activity in agricultural research and the provision of technical information has increased following the expansion in the domains of knowledge over which firms can establish property rights and the concentration of production in a smaller number of economic units. Adjustments in the activities of the public sector in response to these changes can be seen. Still, the optimal mix of public-private sector activities in both research and technology transfer has yet to be fully analyzed. Current analyses (and public policy) are excessively constrained by the “property rights” approach to technological change.  相似文献   

19.
张肇中  王磊 《科学学研究》2020,38(1):180-192
由典型的技术标准规制——进口国食品安全标准出发,在匹配和整合了工业企业数据、海关数据和专利数据等微观数据库的基础上,通过实证检验发现进口国技术标准在出口当年确实通过提高贸易成本抑制了企业出口二元边际。在此基础上进一步验证进口国技术标准引致企业技术创新的效应,这导致在未来数年中进口国标准对企业出口增长形成推注作用。这种引致技术创新效应存在明显的行业差异,主要体现在食品生产加工行业当中。研究结论表明:进口国技术标准并非只是单纯出于地方保护主义的非关税壁垒,且对于技术上相对劣势的出口国具有促进技术创新的积极效应。  相似文献   

20.
By introducing the concept of innovation regimes, the aim of this article is to show how the rapid pace of technological development in the telecom sector may be explained by the close links between the sector's own R&D and the creation of numerous innovations, many of which are radical. In contemporary innovation theory, a theoretical antinomy exists: Whereas, the creation of incremental innovations is variously explained in terms of «rational» responses to markets, dynamics of technological regimes, dominant design, etc., radical innovations, in contrast, are explained in terms of serendipity, chance or haphazard scientific discoveries. Evidence from analysis of innovations in the telecom sector suggests that innovation regimes have provided a capability of coordination, direction and leadership in the creation of many of the radical technological innovations that have emerged in the sector. Thus, one may claim that the strong innovation regimes and high R&D intensity of the telecom sector, at least until recently, have provided a capability of creating innovations on purpose — for a purpose. The policy implications of this contradict some of the assumptions on which the prevailing market-oriented R&D governance models and policies are based — and which are supported by contemporary innovation theories.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号