首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 359 毫秒
1.
文章介绍了以单片机89C51为核心的一种数字频率计的设计方法.该频率计实现频率量程的自动切换,具有较高设计精度.  相似文献   

2.
频率计的测量精度随着被测量信号频率的降低而下降,而等精度频率计既具有较高的测量精度,又能在整个频率范围内保持恒定的精度.本论文采用EDA技术结合硬件描述语言VHDL对频率计系统进行设计,分析了等精度频率计测量频率的原理,给出了其硬件设计方案及实验结果.  相似文献   

3.
基于通用计数器组成的数字频率计的测频原理,分析了数字频率计普遍存在的测频误差:标准频率误差和量化误差.由于标准频率误差由标准频率源的频率准确度和稳定性决定,通常选用准确度和稳定性很高的石英晶体振荡器构成,其频率精度可达10-9量级及以上.因此,数字频率计的测频误差主要取决于量化误差(±1误差).通过探讨量化误差与被测频率和闸门时间的关系,给出了减小测频误差的基本方法.  相似文献   

4.
本文主要论述了利用FPGA (Field Programmable Gate Array,现场可编程门阵列)进行测频计数,单片机实施控制实现频率计的设计过程.该频率计利用等精度的设计方法,克服了基于传统测频原理的频率计的测量精度随被测信号频率的下降而降低的缺点.等精度的测量方法不但具有较高的测量精度,而且在整个频率区域保持恒定的测试精度.  相似文献   

5.
采用单片机智能控制,结合外围电子电路,设计的多功能数字频率计具有测量精度高,频率范围宽,稳定性好的特点,可广泛应用于各种测试场所.  相似文献   

6.
频率计在生活及生产领域的应用极其广泛.为了得到频率等参数的精确测量值,系统在简易频率计的基础上,采用AT89C52单片机作为主控芯片,能够测定三角波、矩形波、正弦波等多种波形信号的频率、周期、占空比以及脉宽,最终通过LCD液晶显示器显示测量的参数.着重介绍了硬件设计及软件编程,同时采取Proteus仿真,结果显示仿真运行良好,可测量三角波、正弦波等多种波形的频率等多种参量.  相似文献   

7.
设计了一种数字频率计,能够测量的频率范围是1HZ-25Mnz,待测信号的波形可以是正弦波、三角波或者方波,幅度范围是O.2V一24V。该设计在高频段应用多周期同步法、在低频段应用周期法来对被测信号的频率进行测量,从而使频率计具有更高的准确度。使用分频芯片,可避免对信号进行预先评估,超出测量范围时会自动提示。  相似文献   

8.
《宜宾学院学报》2016,(6):14-18
设计一种基于FPGA的小信号宽带数字频率计系统,其硬件由低纹波线性电源、高性能比较器TLV3502、高性能FPGA和低功耗MSP430单片机组成,软件采用等精度测频原理.数字频率计能精确测量1 Hz-250 MHz信号频率,最小测量电压有效值为3.6 m V;其测量的时间间隔为0.1~100 ms,被测信号相对误差绝对值不大于10-4;数据刷新时间不大于2 s测量结果稳定,并能自动显示单位;脉冲信号占空比的范围为10%~90%,显示的分辨率为0.1%,测量相对误差的绝对值不大于10-2.该频率计可广泛运用于便携式频率测量.  相似文献   

9.
一般频率的测试,常采用示波器法和计数器法。这里介绍一种附加装置,加入信号发生器,就可当作频率计用。其测频范围和测频精度均由信号发生器本身而定。我们使用的高频信号发生器XFG—7,是用来输出一定频率的信号源,其输出载波范围为100kHz~30MHz,频率刻度误差为±1%,这对一般测频精度已满足要求。  相似文献   

10.
《嘉应学院学报》2016,(8):54-59
介绍一种基于等精度测量的数字频率计的设计方案,该频率计在每个不同测频范围内保持精度不变,且对于幅度值较小的高低频信号能够准确测出.采用多通道的放大整形电路,故能在较宽的频率和幅度范围内对频率、周期、占空比、两路信号的时间间隔等参数进行测量.又结合STM32单片机强大的数据处理能力,将基准频率提高以获取更高的测量精度,还增加软件滤波使测量结果更为稳定.仿真和实验结果表明其测量精度高,有较高的稳定性.  相似文献   

11.
在对三种测频方法进行分析的基础上,介绍了基于EDA技术的等精度测频原理.给出采用AT89C51实现控制并通过FPGA来设计多功能等精度数字频率计的具体方法.该频率计可以兼顾频率计对速度、资源和测频精度等各方面的优化需求.  相似文献   

12.
为了提高频率计的测量精度和系统性能,解决在传统的频率计中无法实现高低频率等精度测量的情况,采用京微雅格公司的M7系列FPGA,设计了一种基于SOPC技术的等精度多功能频率计。该频率计以内嵌Cortex-M3内核的FPGA芯片为控制核心,通过对FPGA模块和Cortex-M3内核部分的设计,并借助AHB接口的FIFO实现FPGA与Cortex-M3内核之间的数据通信,完成了1Hz~50MHz范围内等精度频率计的设计。通过Model Sim软件仿真和硬件实测表明,该频率计可以完成等精度频率和占空比的测量功能,具有精度高、实时性好等特点。  相似文献   

13.
随着电子信息产业的发展,频率的测量在科技研究和实际应用中的作用日益重要。考虑到YL-291模块中单元电路模块的数量与功能,利用YL-291模块设计与搭建频率计电路,搭建后的频率计可以测量5 V信号的频率,频率测量范围为1~999 999 Hz,即接近1 MHz的信号频率。  相似文献   

14.
传统频率计主要以硬件电路为主,测试不同信号频率需要手动切换量程。采用以单片机为核心设计的智能频率计,利用软件自动调整闸门时间,实现量程的自动切换。实际电路测试证明该频率计电路简单、精度较高、工作稳定可靠。  相似文献   

15.
基于传统的频率计设计复杂、操作繁琐的问题,提出了一种基于单片机的简单可靠、小型化频率计的设计方法.这种单片机的使用,使得频率计的电路更加简单可靠,而且电路完成功能的精度更高.  相似文献   

16.
针对某数字频率计的设计要求,利用“自顶向下”的设计方法,采用Verilog硬件描述语言和原理图描述相结合的方式,对系统进行了设计,并在QuartusⅡ软件环境下对设计项目进行了编译和时序仿真.仿真表明,设计能根据输入信号频率进行量程自适应调整,给出测量结果并进行正确显示.  相似文献   

17.
频率计是常用的测量仪器,它通过对单位时间内的信号脉冲进行计数测量出信号的频率。用Lattice公司生产的ISP系列在线可编程器件ISPLSI1032可以设计实现数字频率计。硬件主要有主板及显示两大模块,软件部分采用VHDL硬件描述语言进行设计,最后实现在6组LED数码管上显示频率为1~999999Hz的数字频率计。Complex Pro-grammable Logic Device(CPLD)复杂可编程逻辑器件是一种用户根据各自需要而自行构造逻辑功能的数字集成电路,其基本设计方法是借助集成开发软件平台,用硬件描述语言生成相应的目标文件,通过下载电缆将代码传送到目标芯片中进而完成设计的数字系统。该方法设计灵活,便于实现。  相似文献   

18.
随着电子设计自动化技术的不断提高,利用CPLD器件来实现各种数字系统,已经成为一种需要。为了提高数字频率计的精度与可靠性,提出采用Altera公司的EPM240T可编程逻辑器件对4位数字频率计进行设计。该数字频率计包括基准时钟模块、计数控制模块及显示输出模块三大部分。在设计过程中实现了硬件的系统与软件的功能,利用modelsim软件对频率计的功能进行仿真,结果准确;同时也对实际的外部信号进行了硬件系统测试,通过LED数码管读取到了准确的频率数据。  相似文献   

19.
运用在系统可编程(ISP)技术和器件设计数显频率计.系统主要由ISP器件及外围电路构成,可方便地完成对频率、周期的测量及量程的自动切换.  相似文献   

20.
100MHz数字频率计用VHDL语言编程设计,主要由五个模块组成,分别是测频控制信号发生器、十进制计数器、32位锁存器、分频器、动态扫描译码驱动器模块五部分构成.选用分频器将工作时钟分频后,用测频器测频,将被测频率信号经脉冲整形电路后作为计数器的计数脉冲,加入计数器的输入端,测量一定闸门时间内被测信号的脉冲个数,并将其计数值锁存进锁存器中,最后通过动态扫描译码器读出数值,该频率计精度高,可用于频率测量、机械转速测量等领域.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号