首页 | 本学科首页   官方微博 | 高级检索  
     检索      

基于FPGA的电梯控制系统设计与实现
引用本文:张志霞,陈宇轩,刘艳昌.基于FPGA的电梯控制系统设计与实现[J].河南职业技术师范学院学报,2014(3):65-71.
作者姓名:张志霞  陈宇轩  刘艳昌
作者单位:[1]河南科技学院,河南新乡453003 [2]电子科技大学,四川成都610054
摘    要:针对传统单片机、PLC等方式设计的电梯控制系统外围电路复杂、性能不稳等缺点,提出一种基于FPGA的电梯控制系统的设计与实现方案.系统采用Altera公司的CycloneⅡ系列FPGA芯片EP2C8Q208C8作为主控芯片,利用自顶向下的模块化编程思想和Vet/logHDL编程语言设计了一个6层电梯控制系统.经软件仿真和硬件实现,结果表明该控制系统能够满足设计要求,并能扩展到多层电梯,具有很强的适应性和实用性.

关 键 词:FPGA  电梯控制系统  Verilog  HDL  控制模块

Design and implementation of elevator control system based on FPGA
Zhang Zhixia,Chen Yuxuan,Liu Yanchang.Design and implementation of elevator control system based on FPGA[J].Journal of Henan Vocation-Technical Teachers College,2014(3):65-71.
Authors:Zhang Zhixia  Chen Yuxuan  Liu Yanchang
Institution:1.Henan Institute of Science and Technology,Xinxiang 453003,China; 2.University of Electronic Science and Technology of China, Chengdu 610054,China)
Abstract:
Keywords:FPGA  elevator control system  Verilog HDL  control module
本文献已被 维普 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号