首页 | 本学科首页   官方微博 | 高级检索  
     检索      

用CPLD实现同步串口与异步串口的转换
引用本文:简思平,周学才,张基宏.用CPLD实现同步串口与异步串口的转换[J].深圳信息职业技术学院学报,2004,2(1):5-10.
作者姓名:简思平  周学才  张基宏
作者单位:1. 深圳大学信息工程学院,广东,深圳,518060
2. 深圳信息职业技术学院,广东,深圳,518029
基金项目:本文部分工作得到国家自然科学基金资助(基金项目号:60172065)
摘    要:常用串口通信标准种类繁多,系统设计者必须解决不同串行接口标准之间的转换问题。业界常用串口分为同步串口和异步串口。该文以常用DSP芯片的McBSP同步串口与UART异步串口之间的转换为例,探讨以CPLD来实现串行接口标准之间相互转换的低成本方案,并详细论述了该方案的软、硬件实现。该方案硬件连接简单,成本低廉,软件编程方便,可用于DSP与PC机间的串行通信等工程场合。

关 键 词:CPLD  复杂可编程逻辑器件  异步串行接口  同步串行接口  数字信号处理器  标准串  DSP
文章编号:1672-6332(2004)01-0005-06

Interfacing from Synchronous to Asynchronous Serial Port with Complex Programmable Logic Device
Abstract:
Keywords:
本文献已被 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号