首页 | 本学科首页   官方微博 | 高级检索  
     检索      

基于FPGA的编码器信号处理的电路设计与实现
引用本文:廖永忠,廖亦凡.基于FPGA的编码器信号处理的电路设计与实现[J].湖南第一师范学报,2007,7(2):156-157.
作者姓名:廖永忠  廖亦凡
作者单位:1. 湖南省第一师范学校,湖南,长沙,410002
2. 湖南涉外经济学院,湖南,长沙,410205
摘    要:一种基于FPGA的四轴伺服编码信号处理电路,采用FPGA来实现四轴伺服编码信号处理电路,完成位置伺服控制系统的位置的测量与反馈。实验结果表明,这种四轴编码信号处理电路具有结构简单、可靠性高、抗干扰能力强的优点。

关 键 词:FPGAVHDL  编码器  四倍频
文章编号:1671-4369(2007)02-0156-02
修稿时间:2007-03-07

The Design and Realization of Coder Signal Processing Circuit Based on FPGA
LIAO Yong-zhong,LiaoYi-fan.The Design and Realization of Coder Signal Processing Circuit Based on FPGA[J].Journal of First Teachers College of Hunan,2007,7(2):156-157.
Authors:LIAO Yong-zhong  LiaoYi-fan
Institution:1. Hunan First Normal College, Changsha, Hunan 410002; 2. Hunan College of International Economics, Changsha, Hunan 410205
Abstract:In this paper,a 4-axis servo coder signal processing circuit based on FPGA is studied.FPGA is used to implement 4-axis servo coder signal processing circuit,and the position measurement and feedback of servo control system.Experiment results show that this 4-axis coder signal processing circuit has virtues of simple structure,high reliability and strong capability of anti-jamming.
Keywords:FPGA  VHDL  encoder  quadrant
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号