首页 | 本学科首页   官方微博 | 高级检索  
     检索      

基于EDA平台用MAX+plusⅡ设计数字电路的方法
引用本文:朱军,张君薇.基于EDA平台用MAX+plusⅡ设计数字电路的方法[J].辽宁高职学报,2002,4(3).
作者姓名:朱军  张君薇
作者单位:沈阳职业技术学院汽车分院,辽宁,沈阳,110015
摘    要:MAX+plusⅡ是EDA技术的杰出代表,它的出现使电子系统的逻辑设计变得更加简捷、高效.本文详细介绍了Altera公司EDA设计软件MAX+plusⅡ的特点,并以一个实际电路的设计为例,阐述了用MAX+plusⅡ设计数字电子系统的全过程.

关 键 词:数字电子系统  MAX+plusⅡ  电路设计

The method to design digital circuit with MAX+plus Ⅱ based on EDA
Abstract:
Keywords:EDA  CPLD
本文献已被 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号