首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 140 毫秒
1.
针对微小弹体舵机控制系统对位置伺服的实时性和可靠性要求,设计了以FPGA为控制器的新型数字控制系统。该系统采用FPGA作为核心控制元件、舵机作为基本的执行机构,搭建了具体的硬件电路,对舵机控制硬件电路及其控制逻辑进行了详细阐述,并说明了该控制电路的具体应用实现。提出了一种分时成组原理,利用这一原理,实现了一种基于FPGA的舵机控制用PWM信号生成方法。实验结果表明,该舵机控制器不仅控制参数设定方便、控制精度高且稳定性好,而且增加了系统使用的灵活性和可靠性。  相似文献   

2.
论述了一种基于FPGA/CPLD的7B8B编解码电路的设计和实现。根据光纤通信中mBnB码字通信的特点,设计了低累计不均值的7B8B编码表。用Verilog语言编写了编码电路和解码电路的代码,每个部分都生成了模块,进行了顶层文件和底层文件的整合,并对每个部分进行了仿真测试。编码电路由串并转换电路、锁存电路、数据编码电路、和并串转换电路组成,解码电路是编码电路的逆过程,也是由以上4个电路组成。最后验证和测试了编码电路和解码电路。仿真结果表明,整个设计符合对信号编解码的要求。  相似文献   

3.
该文在分析8B/10B编码原理的基础上,设计了改进型8B/10B编码方案.该编码在完成高速数据信道编码功能的同时,可另外提供一路实时低速信道.基于FPGA技术采用查表法实现了改进型编解码电路,通过仿真验证了该编码方案的有效性,并在Spartan6系列FPGA中进行了综合测试.结果表明,此编解码方法占用的FPGA逻辑资源...  相似文献   

4.
位置传感器(Position Sensitive Detector,PSD)广泛应用于精度较高的非接触测量中,特别是在激光三角法微位移测量中,常采用PSD作为位置敏感元件感测光线的微小偏移。本文分析了PSD的原理和性质,并设计了PSD的信号处理电路,同时设计了微小信号电流的流压转换电路,将微弱的电流信号转换为电压信号,满足了后续信号处理模块的要求,电路存在的噪声控制在±0.8mV内,使整体测量系统的分辨力达到80nm。  相似文献   

5.
实轴增量式光电编码器测量电路的设计   总被引:1,自引:0,他引:1  
介绍了如何设计增量式光电编码器的输出信号处理电路,并从该电路设计的四个方面着手,较为详细地阐述了电路组成的构思、设计及实现过程。  相似文献   

6.
PPM脉冲位置调制通信技术作为一种新兴的通信技术,具有编码简单、能量传输效率高的优点。该文介绍了PPM的基本原理,给出了电路的原理图、实验步骤和仿真结果。在Max+plus Ⅱ件下,利用VHDL硬件描述语言在FPGA上实现了系统设计。经过仿真和测试,实验结果符合设计要求。此课题适用于电子电路课程设计、数字逻辑电路EDA综合实验的选题。  相似文献   

7.
袁平  袁钢  杜勇奕  莫小栋 《考试周刊》2012,(53):132-132
文章介绍了CAK6140数控车床车铣复合改造,以常见的水平床身的CAK6140数控车床结构为基型,通过选伺服主轴电机,增加动力铣头,实现X轴、Y轴、Z轴、C轴四轴控制和四轴联动加工,特别适合较长的轴类零件侧面的键槽和孔的加工。  相似文献   

8.
激光测距是激光特性的一种重要的应用.本文设计了一个以FPGA控制为核心的激光测距系统.该系统主要由FPGA控制系统、激光测距模块、显示电路和按键电路四部分构成,软件在QuartusⅡ软件平台上采用VerilogHDL语言和vhdl语言混合编程.  相似文献   

9.
该文设计方案采用FPGA(Field programmable gate array,即现场可编程门陈列)来实现数字通信中的时分复用和解复用功能,以三路固定时分复用器的设计为例,介绍了一个基于美国ALTERA公司的EPF10K10LC84 FPGA芯片开发的数字基带通信方案。该方案设计通过时分复用实现多路数据的传输,并采用EDA技术及自顶而下的设计思路。将时分复用主要硬件功能通过编程方式制作在两片FPGA芯片上,以MAX plus II软件为平台,以VHDL语言为工具,并且通过PCM编码电路、译码电路、显示电路等模块进行验证。该方案具有结构简单、成本低、性能稳定、抗干扰能力强的特点。  相似文献   

10.
基于FPGA的数字基带通信方案   总被引:1,自引:0,他引:1  
该文设计方案采用FPGA(Field programmable gate array,即现场可编程门陈列)来实现数字通信中的时分复用和解复用功能,以三路固定时分复用器的设计为例,介绍了一个基于美国ALTERA公司的EPF10K10LC84 FPGA芯片开发的数字基带通信方案.该方案设计通过时分复用实现多路数据的传输,并采用EDA技术及自项而下的设计思路.将时分复用主要硬件功能通过编程方式制作在两片FPGA芯片上,以MAX plus Ⅱ软件为平台,以VHDL语言为工具,并且通过PCM编码电路、译码电路、显示电路等模块进行验证.该方案具有结构简单、成本低、性能稳定、抗干扰能力强的特点.  相似文献   

11.
构建了以DSP为基础的运动控制器系统平台。该平台集成度高、稳定性强,能实现生产过程的高速度、高精度要求,实现了基于CPLD的可重构设计,提高了系统的柔性。在控制算法上,采用单神经元PID及cMAc相结合的伺服运动控制算法,仿真及实验结果显示较常规PID控制有更好的动态特性、控制精度、抗干扰能力。  相似文献   

12.
在光纤通信中。利用FPGA实现绝对式光电编码器格雷码输出译码和显示电路。本设计包括FPGA的硬件电路设计,硬件电路的功能,VHDL语言设计方案和实现方法以及采用JTAG边界扫描测试标准实现电路的在线系统编程(ISP)。  相似文献   

13.
介绍了FPGA器件的基本结构及设计特点,分析了采用FPGA进行电路设计的优势。选用Altera公司的F1ex10K系列FPGA器件EPF10K10LC84—4,以交通信号灯控制系统为例,讨论了采用FPGA进行时序逻辑电路设计的思路与方法,使用硬件描述语言Verilog HDL作为输入,给出了核心部分的主要程序代码。最后进行了时序波形的仿真,并对相关波形中出现的毛刺现象进行了相应分析。  相似文献   

14.
提出一种基于FPGA与ARM的在LCD液晶屏上实现视频播放窗口的接口电路设计方法,利用FPGA设计LCD显示的核心控制电路,完成了外部输入的视频信息和LCD的图形界面信息同时分区地显示在TFT LCD液晶屏上,并且可以实现视频窗口可编程缩放及定位等功能.  相似文献   

15.
随着科技的发展,信号处理技术也日渐成熟。而作为数字信号处理的重要组成部分,数据采集技术在整个数字系统中起着至关重要的作用。高速、高精准的数据采集卡在实施信号处理、数字图像处理等方面的需求量越来越大。现场可编程门阵列(FPGA)的特点:时钟频率高、内部延时小、组成形式灵活等,促使其在高速数据采集方面具有压倒性的优势。将FPGA与具有即插即用、高传输速度、独立供电等特点的USB(universal serial bus)总线合用,就会使人们在数据采集中更加方便、快速。笔者根据自己的研究,谈谈基于USB接口和FPGA控制的数据采集系统的设计,望能起到抛砖引玉的效果。  相似文献   

16.
介绍了一种基于FPGA的电子设计竞赛电路板,该电路板由美国Altera公司的Cyclone系列FPGA EP1C6、单片机、高速A/D转换器和D/A转换器等芯片组成,另外,还预留了一定的扩展I/O接口,根据设计需要可以扩展电路。该电路板不仅可以完成电子竞赛中涉及的数字示波器、频率计、DDS信号发生器等设计题目,而且还可以用于赛前培训。  相似文献   

17.
提出一种可实现高速信号线速度处理的FPGA流水线处理方案。首先介绍流水线的相关理论基础和性能指标,并结合高速信号处理过程,论证引入流水线处理的必要性。然后分别介绍输入输出部分的FPGA流水线详细实现方法,给出每个模块内部的具体组成。  相似文献   

18.
本文分析了毛刺信号的消除电路,以电路实例给出毛刺信号消除的三种电路解决方案,并利用FPGA和EDA仿真软件给出了仿真结果,具有一定的工程指导意义。  相似文献   

19.
本文提出一种利用FPGA和眼图实现在工程上测量数字信号传输性能的一种方案;重点介绍了其结构、算法原理及具体实现原理;以ALTER公司的EP1C6Q240C8N为核心实现数字信号的编译码,通过三个2阶巴特沃斯低通滤波器和一个伪随机信号发生器用来模拟传输信道,滤波器采用TI的OPA系列作为核心芯片,加法电路采用的是THS4001、整形电路采用的是LM331,利用FPGA产生时钟信号、M序列、伪随机序列和曼彻斯特码,同时它也用于曼彻斯特码同步时钟信号的提取和译码。在本系统中10MHZ的伪随机序列用来模拟实际环境中的噪声。通过该系统将原信号进行编码、传输以及解调得到解调信号。实现了一个简单的数字传输性能分析仪的设计与制作,最终测量正确的眼图,达到预期的效果。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号