首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 125 毫秒
1.
介绍了DDS芯片AD9852和FPGA(现场可编程门阵列)相结合的全数字方法,直接在70MHz中频上实现多制式信号调制。通过FPGA编程实现对DDS所产生的多种不同调制信号的控制,从而实现远距传输信息的目的。  相似文献   

2.
本文对FPGA芯片实现的DDS结构中数字部分与接口部分进行了设计,将ROM改成RAM,可以实现对波形数据的更新.在对单片机控制的基础之上,通过DDS技术的运用并利用FPGA芯片.以DDS模块为核心的任意波形产生系统的形成得以实现.波形种类齐全、带宽高、频率分辨率高等是这种波形的主要特点,这是这些特点的存在,可以使得信号的调相功能得以实现.  相似文献   

3.
采用基于DDS模块的硬件实现方法设计基带信号发生器,在FPGA内部用DDS模块进行频率合成和叠加,利用EDA技术和FPGA实现直接数字频率综合器DDS的设计。可以完成快速的频率切换,并且在改变时能够保持相位的连续,很容易实现频率、相位和幅度的数控调制。实验结果表明该信号发生器达到了一个比较好的设计精度。  相似文献   

4.
采用基于DDS模块的硬件实现方法设计基带信号发生器,在FPGA内部用DDS模块进行频率合成和叠加,利用EDA技术和FPGA实现直接数字频率综合器DDS的设计.可以完成快速的频率切换,并且在改变时能够保持相位的连续,很容易实现频率、相位和幅度的数控调制.实验结果表明该信号发生器达到了一个比较好的设计精度.  相似文献   

5.
基于FPGA的FIR滤波器FFT算法与DA算法实现   总被引:1,自引:0,他引:1  
对FPGA中实现FIR滤波器乘法运算进行了研究,阐述了将乘法化为FFT算法和DA算法原理,并采用这2种算法设计出了FIR滤波器.通过Altera公司的EPF10k30器件的验证,证明了这2种方法是可行和高效的,其实现的滤波器的性能优于用DSP和传统方法实现的FIR滤波器.  相似文献   

6.
一种基于FPGA的正弦信号发生器的实验方法   总被引:1,自引:0,他引:1  
介绍一种基于FPGA的正弦信号发生器的实现方法——利用DDS的基本工作原理,在FPGA上实现正弦信号发生器的功能,实验选用FLEX10K系列FPGA作为目标芯片,提供了在GW48-CK EDA开发系统中测试通过的正弦信号发生器的VHDL程序。  相似文献   

7.
任意波形发生器的一种快速设计与实现   总被引:1,自引:0,他引:1  
采用直接数字频率合成(DDS)技术,在基于FPGA(field programmable gate array)的可编程片上系统(SOPC)和Matlab平台上设计实现了一种任意波形发生器,任意波形数据通过Matlab的图形用户界面产生并传送到FPGA片上RAM存储器中,DDS模块对RAM的寻址操作实现波形数据输出,并通过片外的数/模转换电路产生模拟波形信号。该波形发生器的设计实现周期短,输出波形平滑、稳定。  相似文献   

8.
DDS多波形信号发生器的设计与实现   总被引:2,自引:0,他引:2  
详细介绍了直接数字频率合成器(DDS)的工作原理、基本结构.在参考大量DDS相关文献的基础上,提出了符合结构的DDS设计方案,利用DDS技术设计了一种高频率精度的多波形信号发生器,此设计基于可编程逻辑器件FPGA,采用Max+PlusⅡ开发平台,由Verilog_HDL编程实现.  相似文献   

9.
介绍了FPGA中常用相位累加器的设计方案,分析了超前进位加法和流水线结构不适合应用于相位累加器的原因,提出了并行技术在高阶高速度相位累加器的设计方案,在Quartus II环境下完成该设计的功能验证和时序验证,并以250MHz为系统时钟,成功运行在EP2C5Q208为主器件的硬件平台。该DDS相位累加器具有高速、低资源消耗等优点,易于移植于FPGA中的查表式DDS。  相似文献   

10.
给出了一种基于软件无线电利用FPGA实现的模拟调制器实验模块的设计方法。介绍了FPGA实现AM调制器的原理,并在DDS基础上,给出了FM凋制器的实现方法。最后对系统功能和性能进行了测试,测试结果满足设计要求。该实验模块是一个基于单片机与FPGA的综合开发实验平台。  相似文献   

11.
介绍了DDS的电路结构及工作原理,并对各组成部分进行了理论分析,重点介绍了电路设计方法,并利用硬件描述语言VHDL实现,最后利用FLEX器件实现了DDS电路,绐出了FPGA设计的仿真和实验。  相似文献   

12.
介绍了一种基于FPGA的DDS波形发生器设计方法,并从DDS原理、FPGA系统设计进行了分析.通过实验测试表明采用该设计方法的波形发生器输出的波形具有平滑、稳定度高、频率稳定度和相位连续等众多优点,在工程应用上具有一定的实际意义.  相似文献   

13.
介绍了基于编程逻辑器件FPGA和直接数字频率合成(DDS)技术构成的正弦波形发生器.其主要模块有频率控制、相位控制、数模转换及正弦波生成等.各模块均通过VHDL语言编程在FPGA上实现,经软件仿真和硬件测试验证达到了设计要求.  相似文献   

14.
基于FPGA的信号发生器的设计   总被引:4,自引:2,他引:2  
简要介绍了直接数字频率合成(DDS)的组成及其工作原理,给出了基于Ahera公司的FPGA实现多波形信号发生器的设计过程和电路结构。设计在QuartusII软件中完成,并给出了仿真波形。该设计用FPGA实现,因此有许多优点。比如:在不修改硬件电路的情况下频率可调,波形可以选择正弦、三角等多种波形,且电路结构简单、扩展性好,具有频率范围宽、频率分辨率高、相位连续、切换速度快等优点。  相似文献   

15.
采用四通道DDS芯片及FPGA器件,设计了多种参数均可自由设置的双通道信号产生器.阐述了信号产生器的主要组成及工作原理,给出了FPGA实现后的VHDL原理框图及具体应用实例,解决了现有设备检修检测手段不足的问题.  相似文献   

16.
本文介绍了DDS的原理,以Al t er a公司的ACEX1K系列的FPGA做为开发芯片,使用VHDL硬件描述语言编程,从而在一块可编程芯片中实现各种数字合成波形的输出。  相似文献   

17.
采用FPGA实现DDS技术,并对正弦波数据存储器的设计方案进行了较详细的论证,同时对ASK、PSK、FSK调制信号的实现进行较详细的介绍.  相似文献   

18.
基于直接数字频率合成(DDS)原理,以单片机AT89S52为控制核心,结合现场可编程门阵列(FPGA),利用DDS集成芯片AD9851实现了在1 Hz~20 MHz的正弦信号发生器。AD9851输出的信号通过滤波、自动增益控制、功率放大后,在输出为50Ω负载的条件下,输出电压峰峰值可达10 V。此外,本系统还附有输出AM、FM、ASK、PSK、FSK等多种调制信号的功能。信号信噪比高,驱动能力强;系统复杂度低,易于在实验室中自制使用。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号