首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到10条相似文献,搜索用时 140 毫秒
1.
采用基于DDS模块的硬件实现方法设计基带信号发生器,在FPGA内部用DDS模块进行频率合成和叠加,利用EDA技术和FPGA实现直接数字频率综合器DDS的设计.可以完成快速的频率切换,并且在改变时能够保持相位的连续,很容易实现频率、相位和幅度的数控调制.实验结果表明该信号发生器达到了一个比较好的设计精度.  相似文献   

2.
采用基于DDS模块的硬件实现方法设计基带信号发生器,在FPGA内部用DDS模块进行频率合成和叠加,利用EDA技术和FPGA实现直接数字频率综合器DDS的设计。可以完成快速的频率切换,并且在改变时能够保持相位的连续,很容易实现频率、相位和幅度的数控调制。实验结果表明该信号发生器达到了一个比较好的设计精度。  相似文献   

3.
目前的信号源的设计,大都采用直接数字频率合成技术(DDS)。但在很多情况下,DDS存在最小分辨率为输入时钟1/2~n或采用截断法时噪声增加的问题。设计将介绍一种基于DDS原理,采用"跳点法"实现更为精确的频率控制方法。设计采用VHDL语言实现各个模块功能,在QuartusⅡ中完成软件设计与仿真,并下载到cyclone器件中,完成硬件的测试。设计以频率分辨率为基准频率的1%为例,若要获得更高的频率分辨率,只需对程序略加修改即可。  相似文献   

4.
介绍了基于编程逻辑器件FPGA和直接数字频率合成(DDS)技术构成的正弦波形发生器.其主要模块有频率控制、相位控制、数模转换及正弦波生成等.各模块均通过VHDL语言编程在FPGA上实现,经软件仿真和硬件测试验证达到了设计要求.  相似文献   

5.
DDS多波形信号发生器的设计与实现   总被引:2,自引:0,他引:2  
详细介绍了直接数字频率合成器(DDS)的工作原理、基本结构.在参考大量DDS相关文献的基础上,提出了符合结构的DDS设计方案,利用DDS技术设计了一种高频率精度的多波形信号发生器,此设计基于可编程逻辑器件FPGA,采用Max+PlusⅡ开发平台,由Verilog_HDL编程实现.  相似文献   

6.
本文对FPGA芯片实现的DDS结构中数字部分与接口部分进行了设计,将ROM改成RAM,可以实现对波形数据的更新.在对单片机控制的基础之上,通过DDS技术的运用并利用FPGA芯片.以DDS模块为核心的任意波形产生系统的形成得以实现.波形种类齐全、带宽高、频率分辨率高等是这种波形的主要特点,这是这些特点的存在,可以使得信号的调相功能得以实现.  相似文献   

7.
信号发生器广泛应用于电子电路、自动控制及教学试验等领域,是电子技术领域的基础电子仪器之一。然而常见的信号发生器性能落后,无法满足科研及教学需要。在现有信号发生器的基础上,根据直接数字频率合成(DDS)原理,利用 STC89C52 单片机作为控制器件,然后采用 AD9951 型 DDS 芯片进行输出,构造一款性能优良的信号发生器,其能输出的波形有正弦波、方波、三角波,产生的相应波形也具有可调幅度、可调频率、可调相位的特点,输出频率可达 0~160MHz,频率分辨率可达 1Hz。  相似文献   

8.
研制了一种声频率范围内的声速测量系统.该系统主要包括单片机模块、DDS信号发生模块、滤波放大模块及声波导管模块.该装置能控制DDS输出不同频率的正弦波,正弦波信号通过滤波放大电路驱动扬声器发声,声音在声波导管中传播,当改变扬声器与传声器的距离时,合成声波的幅值与相位将发生一系列有规则的变化,并形成驻波,最后由传声器拾取并送示波器显示.可用驻波极值法与相位比较法对声波的波速进行测量,在听觉和视觉上效果明显,可作为普通物理实验装置,也可作为物理演示仪器.  相似文献   

9.
直接数字式频率合成技术(DDS)是一种先进的全数字频率合成技术,本文基于DDS的相位调制功能,给出了一种DDS数字移相器的设计方案.  相似文献   

10.
任意波形发生器的一种快速设计与实现   总被引:1,自引:0,他引:1  
采用直接数字频率合成(DDS)技术,在基于FPGA(field programmable gate array)的可编程片上系统(SOPC)和Matlab平台上设计实现了一种任意波形发生器,任意波形数据通过Matlab的图形用户界面产生并传送到FPGA片上RAM存储器中,DDS模块对RAM的寻址操作实现波形数据输出,并通过片外的数/模转换电路产生模拟波形信号。该波形发生器的设计实现周期短,输出波形平滑、稳定。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号